一、RFID概述 ... 3 二.射頻識別技術(shù)原理分析 3 三、安捷倫科技 RFID測試解決方案. 5 (一)RFID Tag 測試參考連接... 5 (二)RFID Reader測試參考連接. 5 (三)Agilent RFID 設(shè)計驗證系統(tǒng)... 6 四.附錄:系統(tǒng)中Agilent主要 RF測試儀器介紹... 9 (一)E4438C 矢量信號發(fā)生器... 9 (二)PSA系列高性能頻譜儀10 (三)EPM 系列和 EPM-P 系列功率計11 (四)66300 系列無線通信電源13
標(biāo)簽: RFID 無線標(biāo)簽 設(shè)計驗證 測試
上傳時間: 2013-10-28
上傳用戶:超凡大師
關(guān)于射頻(RF) 關(guān)于射頻集成電路 無線通信與射頻集成電路設(shè)計 課程相關(guān)信息 RFIC相關(guān)IEEE/IEE期刊和會議• 是什么推動了RFIC 的發(fā)展?• Why RFIC?– Why IC?– 體積更小,功耗更低,更便宜→ 移動性、個人化、低成本– 功能更強,適合于復(fù)雜的現(xiàn)代通信網(wǎng)絡(luò)– 更廣泛的應(yīng)用領(lǐng)域如生物芯片、RFID 等• Quiz: why not fully integrated?• 射頻集成電路設(shè)計最具挑戰(zhàn)性之處在于,設(shè)計者向上必須懂得無線系統(tǒng)的知識,向下必須具備集成電路物理和工藝基礎(chǔ),既要掌握模擬電路的設(shè)計和分析技巧,又要熟悉射頻和微波的理論與技術(shù)。(當(dāng)然,高技術(shù)應(yīng)該帶來高收益:)
上傳時間: 2014-05-08
上傳用戶:liuchee
新一代寬帶無線接入產(chǎn)品¯¯W812 工作在2.4GHz 頻段, 符合IEEE 802.11g 標(biāo)準(zhǔn), 采用正交頻分復(fù)用技術(shù), 具有速率高、覆蓋距離遠(yuǎn)等特點,為基礎(chǔ)電信運營商、ISP 及行業(yè)用戶提供了有力的解決方案。W812 可外接不同增益的天線,以達(dá)到不同距離的覆蓋。支持POE供電。
上傳時間: 2013-11-22
上傳用戶:xyipie
ZigBee技術(shù)簡介旭昂電子ZigBee無線通信模塊介紹ZigBee技術(shù)專業(yè)開發(fā)商ZigBee技術(shù)應(yīng)用解決方案ZigBee技術(shù)應(yīng)用:無線傳感器網(wǎng)絡(luò)、數(shù)據(jù)采集、工業(yè)控制、智能樓宇、醫(yī)療設(shè)備… ZigBee是一種新興的短距離、低功耗、低數(shù)據(jù)速率、低成本、低復(fù)雜度的無線網(wǎng)絡(luò)技術(shù)。ZigBee 采取了IEEE 802.15.4強有力的無線物理層所規(guī)定的全部優(yōu)點:省電、簡單、成本又低的規(guī)格; ZigBee增加了邏輯網(wǎng)絡(luò)、網(wǎng)絡(luò)安全和應(yīng)用層。ZigBee聯(lián)盟預(yù)測的主要應(yīng)用領(lǐng)域包括工業(yè)控制、消費性電子設(shè)備、汽車自動化、家庭和樓宇自動化、醫(yī)用設(shè)備控制等。
上傳時間: 2013-11-20
上傳用戶:qq521
The super-junction structure, which has P-type pillar layers as shown left, realizes high withstand voltage and ON-resistance lower than the conventional theoretical limit of silicon.
標(biāo)簽: 場效應(yīng)管 產(chǎn)品指南
上傳時間: 2014-12-31
上傳用戶:qwer0574
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is Port ( clk : in std_logic; resetn : in std_logic; dout : out std_logic_vector(7 downto 0); lcd_en : out std_logic; lcd_rs : out std_logic; lcd_rw : out std_logic); end counter;
上傳時間: 2013-10-30
上傳用戶:wqxstar
本軟件是多種EDA軟件的鼠標(biāo)增強工具,綠色單文件,支持Win9x/NT/2000/XP/WIN7,其中WIN7需要以管理員模式運行,另外,Win9x需要編譯成非UNICODE版本,有需要的用戶可發(fā)郵件給我索取,支持protel99se,DXP(AD),PADS,OrCAD的capture、Cam350、Saber、PC Schematic、Allegro、CircuitCAM,并且對每個軟件的功能都可設(shè)置,用戶可根據(jù)使用習(xí)慣打開或者關(guān)閉功能。 軟件啟動時和啟動后每隔24小時檢查更新,如果系統(tǒng)能上網(wǎng),有更新時會有增量更新信息。 針對protel99se, DXP,Altium Designer: 1. 向上滾動滾輪 --> 放大,相當(dāng)于PageUp(不能改掉軟件原來的快捷鍵,否則就不靈了) 2. 向下滾動滾輪 --> 縮小,相當(dāng)于PageDown(不能改掉軟件原來的快捷鍵,否則就不靈了) 3. 按下滾輪 --> 切換有效圖層并刷新,相當(dāng)于小鍵盤'*'的功能 4. 按左鍵拖目標(biāo) --> 再按右鍵可旋轉(zhuǎn),相當(dāng)于空格鍵的功能,在布局時非常好用,請留意 5. 按右鍵拖動屏幕 6. 原理圖里單擊中鍵呼出放置菜單,相當(dāng)于按“P”鍵1次,連按兩次相當(dāng)于直接放置元件 7. 按中鍵向左移動撤消操作,相當(dāng)于ALT+Backspace 8. 按中鍵向右移動重做操作,相當(dāng)于CTRL+Backspace 9. 按中鍵向上移動,畫線時刪除上一次操作,相當(dāng)于按Backspace 10.按中鍵向下移動,可刪除當(dāng)前焦點或選中內(nèi)容,相當(dāng)于按DELETE和CTRL+DELETE 針對PADS(支持各種版本logic, pcb): 1. 向上滾動滾輪 --> 放大,相當(dāng)于PageUp 2. 向下滾動滾輪 --> 縮小,相當(dāng)于PageDown 3. 按住鼠標(biāo)右鍵移動,可移動工作區(qū),相當(dāng)于SHIFT+滾輪或者ALT+滾輪,不平滑 4. 鼠標(biāo)中鍵點擊 --> 切換圖層,相當(dāng)于F4,原中鍵點擊功能廢棄 5. 按左鍵拖目標(biāo) --> 再按右鍵可旋轉(zhuǎn),相當(dāng)于TAB鍵的功能,在布局時非常好用,請留意
標(biāo)簽: EDA
上傳時間: 2013-11-08
上傳用戶:cooran
已通過CE認(rèn)證。(為什么要選擇經(jīng)過CE認(rèn)證的編程器?) 程速度無與倫比,逼近芯片理論極限。 基本配置48腳流行驅(qū)動電路。所選購的適配器都是通用的(插在DIP48鎖緊座上),即支持同封裝所有類型器件,48腳及以下DIP器件無需適配器直接支持。通用適配器保證快速新器件支持。I/O電平由DAC控制,直接支持低達(dá)1.5V的低壓器件。 更先進的波形驅(qū)動電路極大抑制工作噪聲,配合IC廠家認(rèn)證的算法,無論是低電壓器件、二手器件還是低品質(zhì)器件均能保證極高的編程良品率。編程結(jié)果可選擇高低雙電壓校驗,保證結(jié)果持久穩(wěn)固。 支持FLASH、EPROM、EEPROM、MCU、PLD等器件。支持新器件僅需升級軟件(免費)。可測試SRAM、標(biāo)準(zhǔn)TTL/COMS電路,并能自動判斷型號。 自動檢測芯片錯插和管腳接觸不良,避免損壞器件。 完善的過流保護功能,避免損壞編程器。 邏輯測試功能。可測試和自動識別標(biāo)準(zhǔn)TTL/CMOS邏輯電路和用戶自定義測試向量的非標(biāo)準(zhǔn)邏輯電路。 豐富的軟件功能簡化操作,提高效率,避免出錯,對用戶關(guān)懷備至。工程(Project)將用戶關(guān)于對象器件的各種操作、設(shè)置,包括器件型號設(shè)定、燒寫文件的調(diào)入、配置位的設(shè)定、批處理命令等保存在工程文件中,每次運行時一步進入寫片操作。器件型號選擇和文件載入均有歷史(History)記錄,方便再次選擇。批處理(Auto)命令允許用戶將擦除、查空、編程、校驗、加密等常用命令序列隨心所欲地組織成一步完成的單一命令。量產(chǎn)模式下一旦芯片正確插入CPU即自動啟動批處理命令,無須人工按鍵。自動序列號功能按用戶要求自動生成并寫入序列號。借助于開放的API用戶可以在線動態(tài)修改數(shù)據(jù)BUFFER,使每片芯片內(nèi)容均不同。器件型號選錯,軟件按照實際讀出的ID提示相近的候選型號。自動識別文件格式, 自動提示文件地址溢出。 軟件支持WINDOWS98/ME/NT/2000/XP操作系統(tǒng)(中英文)。 器件型號 編程(秒) 校驗(秒) P+V (s) Type 28F320W18 9 4.5 13.5 32Mb FLASH 28F640W30 18 9 27 64Mb FLASH AM29DL640E 38.3 10.6 48.9 64Mb FLASH MB84VD21182DA 9.6 2.9 12.5 16Mb FLASH MB84VD23280FA 38.3 10.6 48.9 64Mb FLASH LRS1381 13.3 4.6 19.9 32Mb FLASH M36W432TG 11.8 4.6 16.4 32Mb FLASH MBM29DL323TE 17.5 5.5 23.3 32Mb FLASH AT89C55WD 2.1 1 3.1 20KB MCU P89C51RD2B 4.6 0.9 5.5 64KB MCU
標(biāo)簽: superpro 280 驅(qū)動 編程器軟件
上傳時間: 2013-10-18
上傳用戶:suicoe
本軟件是多種EDA軟件的鼠標(biāo)增強工具,綠色單文件,支持Win9x/NT/2000/XP/WIN7,其中WIN7需要以管理員模式運行,另外,Win9x需要編譯成非UNICODE版本,有需要的用戶可發(fā)郵件給我索取,支持protel99se,DXP(AD),PADS,OrCAD的capture、Cam350、Saber、PC Schematic、Allegro、CircuitCAM,并且對每個軟件的功能都可設(shè)置,用戶可根據(jù)使用習(xí)慣打開或者關(guān)閉功能。 軟件啟動時和啟動后每隔24小時檢查更新,如果系統(tǒng)能上網(wǎng),有更新時會有增量更新信息。 針對protel99se, DXP,Altium Designer: 1. 向上滾動滾輪 --> 放大,相當(dāng)于PageUp(不能改掉軟件原來的快捷鍵,否則就不靈了) 2. 向下滾動滾輪 --> 縮小,相當(dāng)于PageDown(不能改掉軟件原來的快捷鍵,否則就不靈了) 3. 按下滾輪 --> 切換有效圖層并刷新,相當(dāng)于小鍵盤'*'的功能 4. 按左鍵拖目標(biāo) --> 再按右鍵可旋轉(zhuǎn),相當(dāng)于空格鍵的功能,在布局時非常好用,請留意 5. 按右鍵拖動屏幕 6. 原理圖里單擊中鍵呼出放置菜單,相當(dāng)于按“P”鍵1次,連按兩次相當(dāng)于直接放置元件 7. 按中鍵向左移動撤消操作,相當(dāng)于ALT+Backspace 8. 按中鍵向右移動重做操作,相當(dāng)于CTRL+Backspace 9. 按中鍵向上移動,畫線時刪除上一次操作,相當(dāng)于按Backspace 10.按中鍵向下移動,可刪除當(dāng)前焦點或選中內(nèi)容,相當(dāng)于按DELETE和CTRL+DELETE 針對PADS(支持各種版本logic, pcb): 1. 向上滾動滾輪 --> 放大,相當(dāng)于PageUp 2. 向下滾動滾輪 --> 縮小,相當(dāng)于PageDown 3. 按住鼠標(biāo)右鍵移動,可移動工作區(qū),相當(dāng)于SHIFT+滾輪或者ALT+滾輪,不平滑 4. 鼠標(biāo)中鍵點擊 --> 切換圖層,相當(dāng)于F4,原中鍵點擊功能廢棄 5. 按左鍵拖目標(biāo) --> 再按右鍵可旋轉(zhuǎn),相當(dāng)于TAB鍵的功能,在布局時非常好用,請留意
標(biāo)簽: EDA
上傳時間: 2014-01-14
上傳用戶:竺羽翎2222
系統(tǒng)結(jié)構(gòu)如 圖 1所示 , 從 系統(tǒng) 結(jié) 構(gòu)圖可 以看 出 , 系統(tǒng)主要包括視頻信 號輸入模塊 , 視頻信號處 理模 塊和視頻信號輸出模塊等 3個部分組成。各個模塊主要功能為: 視頻輸入模塊 將 采 集 的 多路 視 頻 信 號 轉(zhuǎn) 換成 數(shù) 字 信 號 送 到F P GA; 視頻處理模塊主要有F P GA 完成 ,根據(jù) 需要 對輸入 的數(shù)字視頻信號進行處理 ; 視頻輸 出模塊將 F P GA處理后的信號轉(zhuǎn)換成模擬信號輸出到顯示器。
上傳時間: 2013-11-09
上傳用戶:xiaoyunyun
蟲蟲下載站版權(quán)所有 京ICP備2021023401號-1