亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

蟲蟲首頁| 資源下載| 資源專輯| 精品軟件
登錄| 注冊

補(bǔ)償器

  • flex 流程編緝器,可以自己根據需要加入數據庫或流程代碼即可。可以在BS系統下正常使用

    flex 流程編緝器,可以自己根據需要加入數據庫或流程代碼即可。可以在B\S系統下正常使用

    標簽: flex 流程 數據庫 代碼

    上傳時間: 2013-12-18

    上傳用戶:1109003457

  • 增量式光電編碼器輸出四分頻脈沖計數

    增量式光電編碼器輸出四分頻脈沖計數,分別為A,B兩路信號

    標簽: 增量式 光電編碼器 分頻 輸出

    上傳時間: 2014-01-13

    上傳用戶:tzl1975

  • C/S(Client/Server,客戶端/服務器)結構和 B/S(Browser/Server

    C/S(Client/Server,客戶端/服務器)結構和 B/S(Browser/Server,瀏覽 器/服務器)結構是大家熟知的也是現在市面上使用最多的兩種軟件 體系結構。隨著信息技術與網絡技術的發展,WEB技術的日益成熟, C/S結構有逐漸被 B/S結構取代的趨勢。

    標簽: Server Browser Client 服務器

    上傳時間: 2014-01-21

    上傳用戶:zsjinju

  • 批處理感知器算法

    批處理感知器算法的代碼matlab w1=[1,0.1,1.1;1,6.8,7.1;1,-3.5,-4.1;1,2.0,2.7;1,4.1,2.8;1,3.1,5.0;1,-0.8,-1.3;     1,0.9,1.2;1,5.0,6.4;1,3.9,4.0]; w2=[1,7.1,4.2;1,-1.4,-4.3;1,4.5,0.0;1,6.3,1.6;1,4.2,1.9;1,1.4,-3.2;1,2.4,-4.0;     1,2.5,-6.1;1,8.4,3.7;1,4.1,-2.2]; w3=[1,-3.0,-2.9;1,0.5,8.7;1,2.9,2.1;1,-0.1,5.2;1,-4.0,2.2;1,-1.3,3.7;1,-3.4,6.2;     1,-4.1,3.4;1,-5.1,1.6;1,1.9,5.1]; figure; plot(w3(:,2),w3(:,3),'ro'); hold on; plot(w2(:,2),w2(:,3),'b+'); W=[w2;-w3];%增廣樣本規范化 a=[0,0,0]; k=0;%記錄步數 n=1; y=zeros(size(W,2),1);%記錄錯分的樣本 while any(y<=0)     k=k+1;     y=a*transpose(W);%記錄錯分的樣本     a=a+sum(W(find(y<=0),:));%更新a     if k >= 250         break     end end if k<250     disp(['a為:',num2str(a)])      disp(['k為:',num2str(k)]) else      disp(['在250步以內沒有收斂,終止']) end %判決面:x2=-a2*x1/a3-a1/a3 xmin=min(min(w1(:,2)),min(w2(:,2))); xmax=max(max(w1(:,2)),max(w2(:,2))); x=xmin-1:xmax+1;%(xmax-xmin): y=-a(2)*x/a(3)-a(1)/a(3); plot(x,y)

    標簽: 批處理 算法matlab

    上傳時間: 2016-11-07

    上傳用戶:a1241314660

  • 臺達變頻器

    臺達變頻器說明書,此說明書主要用于臺達B型變頻器調試參數

    標簽: 臺達變頻器

    上傳時間: 2017-12-31

    上傳用戶:wshaoheng

  • VHDL4選1數據選擇器

    VHDL編寫的4選一數據選擇器 entity mux41a is        port(a,b:in std_logic;                s1,s2,s3,s4:in std_logic;                y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);

    標簽: VHDL 數據選擇器

    上傳時間: 2020-05-15

    上傳用戶:cdga

  • TMS320F28035 DSP設計的數字大功率數字化全橋變換器ALTIUM設計硬件原理圖+PCB

    基于DSP設計的數字化大功率電源數字化全橋變換器電源ALTIUM設計硬件原理圖+PCB文件,包括主板和控制板2個硬件,均為4層板設計,ALTIUM設計的硬件工程文件,包括完整的原理圖和PCB文件,可以做為你的設計參考。主板原理圖器件如下:Library Component Count : 55Name                Description----------------------------------------------------------------------------------------------------6CWQ09F             Schottky Rectifier7416474HC16474LS1647805                7812                7815                7824                ACT45B              共模電感ARRESTER            R27030059BAV99               R26010005BRIDGE              R26060153CAPCB                  CD                  CON4                ConnectorComponent_1_1       D-1N5819            DiodeDEDIO-SMDELECTRO1            R21010742FUSE                R27010205HOLHeader 3            Header, 3-PinHeader 6            Header, 6-PinHeader 7            Header, 7-PinIR1150S             JQX-115F-I          L0                  L2                  LBAV70              R26010012LM358MOSFET N            NMOS-2              R26110100NPN                 R26080003OPTOISO1            R25030015PNP                 PNP TransistorR-NTCR20190006           R20190075R21020037           R21020037/工業B/消費C/瓷片電容/4700pF±20%/250Vac/Y2/Y5U/引腳間距7.5mmR26020054           R26020054/工業A/消費C/快恢復二極管/1000V/1A/1.7V/75ns/SMA/US1M-E3-61TR26030048           R26030048/工業A/消費B/肖特基二極管/1A/100V/0.79V/SMA/SS110LR26030097           R26030097/工業B/肖特基二極管/60V/1A/0.70V/SMA/B160R29030691           R29030691/防雷接地座/最大尺寸7.36*7*10/紫銅鍍錫RES                 R20190099RES2                RES_1Res3                ResistorTL431               TRANS01TRANS7-9            Transformer         UCC3804VARISTOR            R27030060ZENERu型槽3.5x7

    標簽: tms320f28035 dsp 全橋變換器

    上傳時間: 2021-12-22

    上傳用戶:aben

  • 電力系統無功補償器的研究

    摘要:隨薦電力電子設備、交直流電弧爐和電氣化鐵道等非線性、沖擊性負荷的大量接入電網,引起了電網無功功率不足、電壓波動與閃變、三相供電不平衡以及電壓電流波形畸變等其它一系列電能質景問題,并嚴重威脅著電力系繞的安全穩定運行。首先,本文介紹了無功功率的基本概念,介紹了無功功率對電力系統的影響以及無功補償的作用,并詳盡的閘述了國內外無功補償裝置的歷史以及現狀。其次,本文詳細分析了靜止無功補償器(SVC)和靜止無功發生器(SVC)的基本結構,控制方法和工作原理,以及各自優特點。并且闡述了它們的工作特性。再次,本文著重進行了對SVG型靜止無功補償器提高系統電壓的理論研究。利用MATLAB/SIMLINK仿真軟件對SVG工作方式及利用SVG動態提高系統電壓的原理進行仿真研究。并對仿真結果進行了全面外析VRe,本完成了(利t功補t控制器的設計,該控a器a系統硬件上采用了由STC生產的STCIOFO8X單片機作為主控制器。采用ATT7022作為電能檢測芯片,實現電網參數的精確深樣與計算,在系統軟件上采用品剛管控制投切電容器,實現了電容器的快速,無弧的投切。采用全中文液品顯示界面實時顯示系統運行狀況.關;無,SVG,svc,STC10FO8X隨著現代電力電子技術的飛速發展,大量大功率、非線性負荷的接入電網中,使得電網供電質量受到了嚴重的威脅。特別是一些像電弧爐、軋機、整流橋等非線性和沖擊性負荷的大量使用是導致電能質量惡化的最主要來源,造成了一系列嚴重的影響理想狀態的電力供應要求頻率為50Hz,電壓幅值穩定在額定值的標準正弦波形。在三相電網供電系統中,A,B.C三相電壓電流的幅值大小相等、相位差依次落后120度。但當電力用戶的各種用電裝置接入電力系統后,電力供應由理想的電力供應變成了電壓電流偏離這種狀態的非理想狀態。電網中的許多用電負荷都具有低功率因數、非線性、不平衡性和沖擊性的特征,這些特征嚴重地危害著電網的電力供應,可表現在:電壓值跌落或浪涌、各次諧波含量大、電壓波形發生閃變、電壓電流波形失真等,這樣便出現了電能質量問題。實際電網中的電能質量問題主要表現如下:

    標簽: 電力系統 無功補償器

    上傳時間: 2022-06-17

    上傳用戶:

  • STM32F10XXX正交編碼器接口應用筆記

    在馬達控制類應用中,正交編碼器可以反饋馬達的轉子位置及轉速信號.TM32F10x系列MCU集成了正交編碼器接口,增量編碼器可與MCU直接連接而無需外部接口電路。該應用筆記詳細介紹了STM32F1Ox與正交編碼器的接口,并附有相應的例程,使用戶可以很快地掌握其使用方法.1正交編碼器原理正交編碼器實際上就是光電編碼器,分為增量式和絕對式,較其它檢測元件有直接輸出數字量信號,慣量低,低噪聲,高精度,高分辨率,制作簡便,成本低等優點。增量式編碼器結構簡單,制作容易,一般在碼盤上刻A.B.Z三道均勻分布的刻線,由于其給出的位置信息是增量式的,當應用于伺服領域時需要初始定位格雷碼絕對式編碼器一般都做成循環二進制代碼,碼道道數與二進制位數相同。格富碼絕對式編碼器可直接輸出轉子的絕對位置,不需要測定初始位置,但其工藝復雜、成本高,實現高分辨率、高精度較為困難。本文主要針對增量式正交編碼器,它產生兩個方波信號A和B,它們相差+-90.其符號由轉動方向決定。如下圖所示:圖1:增量式正交編碼器輸出信號波形2 STM32F10x正交編碼器接口詳述STM32F10x的所有通用定時器及高級定時器都集成了正交編碼器接口,定時器的兩個輸入TII和TI2直接與增量式正交編碼器接口,當定時器設為正交編碼器模式時,這兩個信號的邊沿作為計數器的時鐘,而正交編碼器的第三個輸出(機械零位),可連接外部中斷口來觸發定時器的計數器復位.

    標簽: stm32 接口 正交編碼器

    上傳時間: 2022-06-18

    上傳用戶:zhanglei193

  • 電子秤專用模擬/數字(A/D)轉換器芯片hx711中文資料

    HX711是一款專為高精度電子秤而設計的24位A/D轉換器芯片。與同類型其它芯片相比,該芯片集成了包括穩壓電源、片內時鐘振蕩器等其它同類型芯片所需要的外圍電路,具有集成度高、響應速度快、抗干擾性強等優點。降低了電子秤的整機成本,提高了整機的性能和可靠性。該芯片與后端MCU 芯片的接口和編程非常簡單,所有控制信號由管腳驅動,無需對芯片內部的寄存器編程。輸入選擇開關可任意選取通道A 或通道B,與其內部的低噪聲可編程放大器相連。通道A 的可編程增益為128 或64,對應的滿額度差分輸入信號幅值分別為±20mV或±40mV。通道B 則為固定的64 增益,用于系統參數檢測。芯片內提供的穩壓電源可以直接向外部傳感器和芯片內的A/D 轉換器提供電源,系統板上無需另外的模擬電源。芯片內的時鐘振蕩器不需要任何外接器件。上電自動復位功能簡化了開機的初始化過程。

    標簽: hx711 A/D轉換器

    上傳時間: 2022-07-24

    上傳用戶:

主站蜘蛛池模板: 扎赉特旗| 宾川县| 镇巴县| 宣城市| 广南县| 揭西县| 甘泉县| 旅游| 姜堰市| 绩溪县| 防城港市| 临西县| 塔城市| 南皮县| 观塘区| 兴业县| 象山县| 黄骅市| 宁晋县| 荥阳市| 榕江县| 天峨县| 合肥市| 麦盖提县| 克什克腾旗| 蓬莱市| 丹棱县| 镇坪县| 南涧| 泽普县| 大城县| 林芝县| 临邑县| 城市| 涿鹿县| 裕民县| 泰宁县| 霍邱县| 乳源| 江华| 象州县|