亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

蟲蟲首頁| 資源下載| 資源專輯| 精品軟件
登錄| 注冊

工業(yè)(yè)機器

  • 哈夫曼編/譯碼器:利用哈夫曼編碼進行通信可以大大提高信道利用率

    哈夫曼編/譯碼器:利用哈夫曼編碼進行通信可以大大提高信道利用率,縮短信息傳輸入時間, 降低成本,但是,這要求發(fā)送端通過一個編碼系統(tǒng)對待傳輸數(shù)據(jù)先進行編碼,在 接收端將傳來的數(shù)據(jù)進行譯碼。對于雙工信道(可雙向傳輸?shù)男诺溃慷硕夹? 要一個完整的編/譯碼系統(tǒng)。

    標簽: 譯碼器 編碼 信道 利用率

    上傳時間: 2016-12-16

    上傳用戶:冇尾飛鉈

  • 這是一套非常好用的C++物件導向式程式編輯器

    這是一套非常好用的C++物件導向式程式編輯器,C++是程序語言C的擴充,C/C++語言已經(jīng)是一套古老語言,成為了很多作業(yè)系統(tǒng)與應用軟體的編輯大宗,環(huán)境適用於WIN95~WINXP。

    標簽: 程式

    上傳時間: 2014-08-06

    上傳用戶:tyler

  • J J Y Y 即 時 通 信 軟 件

    J J Y Y 即 時 通 信 軟 件 , 包 含 服 務 器測。

    標簽:

    上傳時間: 2017-04-18

    上傳用戶:zhuyibin

  • L3_1.m: 純量量化器的設計(程式) L3_2.m: 量化造成的假輪廓(程式) L3_3.m: 向量量化器之碼簿的產(chǎn)生(程式) L3_4.m: 利用LBG訓練三個不同大小與維度的

    L3_1.m: 純量量化器的設計(程式) L3_2.m: 量化造成的假輪廓(程式) L3_3.m: 向量量化器之碼簿的產(chǎn)生(程式) L3_4.m: 利用LBG訓練三個不同大小與維度的碼簿並分別進行VQ(程式) gau.m: ML量化器設計中分母的計算式(函式) gau1.m: ML量化器設計中分子的計算式(函式) LBG.m: LBG訓練法(函式) quantize.m:高斯機率密度函數(shù)的非均勻量化(函式) VQ.m: 向量量化(函式) L3_2.bmp: 影像檔 lena.mat: Matlab的矩陣變數(shù)檔

    標簽: 量化 程式 LBG 向量

    上傳時間: 2013-12-26

    上傳用戶:jiahao131

  • 艾默生EV系列變頻器與PC通訊的程序

    艾默生EV系列變頻器與PC通訊的程序,用VB編寫的,十分適合工控人士使用

    標簽: 變頻器 PC通訊 程序

    上傳時間: 2017-06-29

    上傳用戶:894898248

  • 批處理感知器算法

    批處理感知器算法的代碼matlab w1=[1,0.1,1.1;1,6.8,7.1;1,-3.5,-4.1;1,2.0,2.7;1,4.1,2.8;1,3.1,5.0;1,-0.8,-1.3;     1,0.9,1.2;1,5.0,6.4;1,3.9,4.0]; w2=[1,7.1,4.2;1,-1.4,-4.3;1,4.5,0.0;1,6.3,1.6;1,4.2,1.9;1,1.4,-3.2;1,2.4,-4.0;     1,2.5,-6.1;1,8.4,3.7;1,4.1,-2.2]; w3=[1,-3.0,-2.9;1,0.5,8.7;1,2.9,2.1;1,-0.1,5.2;1,-4.0,2.2;1,-1.3,3.7;1,-3.4,6.2;     1,-4.1,3.4;1,-5.1,1.6;1,1.9,5.1]; figure; plot(w3(:,2),w3(:,3),'ro'); hold on; plot(w2(:,2),w2(:,3),'b+'); W=[w2;-w3];%增廣樣本規(guī)范化 a=[0,0,0]; k=0;%記錄步數(shù) n=1; y=zeros(size(W,2),1);%記錄錯分的樣本 while any(y<=0)     k=k+1;     y=a*transpose(W);%記錄錯分的樣本     a=a+sum(W(find(y<=0),:));%更新a     if k >= 250         break     end end if k<250     disp(['a為:',num2str(a)])      disp(['k為:',num2str(k)]) else      disp(['在250步以內(nèi)沒有收斂,終止']) end %判決面:x2=-a2*x1/a3-a1/a3 xmin=min(min(w1(:,2)),min(w2(:,2))); xmax=max(max(w1(:,2)),max(w2(:,2))); x=xmin-1:xmax+1;%(xmax-xmin): y=-a(2)*x/a(3)-a(1)/a(3); plot(x,y)

    標簽: 批處理 算法matlab

    上傳時間: 2016-11-07

    上傳用戶:a1241314660

  • VHDL4選1數(shù)據(jù)選擇器

    VHDL編寫的4選一數(shù)據(jù)選擇器 entity mux41a is        port(a,b:in std_logic;                s1,s2,s3,s4:in std_logic;                y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);

    標簽: VHDL 數(shù)據(jù)選擇器

    上傳時間: 2020-05-15

    上傳用戶:cdga

  • 用單片機改造三相異步電動機Y-Δ降壓啟動控制電路研究

    三相異步電動機Y-Δ降壓啟動控制電路,因其電路結(jié)構(gòu)簡單、經(jīng)濟可靠,被廣泛應用于工業(yè)現(xiàn)場。隨著,工業(yè)自動化要求越來越高,出現(xiàn)用PLC改造三相異步電動機Y-Δ降壓啟動控制電路。但是,PLC的價格較高。實際工業(yè)中,尤其是對三相異步電動機要求啟動頻繁、粉塵污染嚴重,這就加快了控制電器觸點的損壞(如時間繼電器,中間接觸器),增加了出現(xiàn)故障的概率和維修的成本。而采用單片機控制,其是密封式的,抗粉塵污染,而且價格低廉,運行可靠,即可以減少維修成本,還能減少故障時間,一舉多得。采用單片機改造三相異步電動機Y-Δ降壓啟動控制電路,通過按動接在單片機上的按鈕啟動和停止電動機,同時還可以在單片機中設置單片機從星形連接轉(zhuǎn)換為三角形連接的時間,當通過按鈕啟動電動機時,單片機將自動實現(xiàn)三相異步電動機Y-Δ降壓啟動轉(zhuǎn)換。

    標簽: 單片機 三相異步電動機

    上傳時間: 2022-03-27

    上傳用戶:得之我幸78

  • EG8010 純正弦波逆變器典型應用電路圖

    EG8010 是一款數(shù)字化的、功能很完善的自帶死區(qū)控制的純正弦波逆變發(fā)生器芯片,應用于 DC-DC-AC 兩級功率變換架構(gòu)或 DC-AC 單級工頻變壓器升壓變換架構(gòu),外接 12MHz 晶體振蕩器,能實現(xiàn)高精度、失真和諧波都很小的純正弦波 50Hz 或 60Hz 逆變器專用芯片。該芯片采用 CMOS 工藝,內(nèi)部集成 SPWM 正弦發(fā)生器、死區(qū)時間控制電路、幅度因子乘法器、軟啟動電路、保護電路、RS232 串行通訊接口和 12832 串行液晶驅(qū)動模塊等功能。

    標簽: 正弦波逆變器

    上傳時間: 2022-05-31

    上傳用戶:

  • 旋轉(zhuǎn)編碼器速度檢測控制資料

    旋轉(zhuǎn)編碼器速度檢測控制資料在電纜生產(chǎn)線上,通常需要檢測電纜的走線速度,用來控制收線電機的轉(zhuǎn)速和計算線纜的長度。成纜工藝參數(shù)的穩(wěn)定,直接關系到電線電纜的質(zhì)量。該項目是為某電纜廠的技術改造項目,要改造的設備是利用束線原理制造的盤絞式成纜機,改造的內(nèi)容是更換全部電氣控制系統(tǒng)。這種成纜機的放線盤固定,而收線盤固在盤絞架上同時完成絞合和收線的雙重運動。工作時,在線纜盤直流電機的帶動下,完成電纜的收線運動,在排線電機的帶動下實現(xiàn)電纜在收線盤的整齊排列。在大盤電機的帶動下,通過齒輪箱帶動盤絞架實現(xiàn)軸向旋轉(zhuǎn),完成電纜絞合運動,是保證節(jié)距的關鍵。線速度是由收線盤的旋轉(zhuǎn)速度決定的,如果收線電機的轉(zhuǎn)速恒定,收線盤隨著收線軸的變粗,線速度會增大,因此,為保證收線速度恒定,要逐漸降低收線電機的轉(zhuǎn)速。摘 要:通過對盤絞式成纜機工作過程的分析,說明了對收線電機的控制要求,采用AT89C51 單片機為控制核心,通過檢測旋轉(zhuǎn)編碼器在單位時間內(nèi)輸出的脈沖數(shù),與標準脈沖數(shù)進行比較,控制收線電機調(diào)速器的給定值,從而控制收線電機的旋轉(zhuǎn)速度,實現(xiàn)了線纜的均勻走線速度控制。給出單片機與旋轉(zhuǎn)編碼器組成的閉環(huán)線速度控制系統(tǒng)的電路原理及主要控制程序的設計方法。其簡潔的電路設計和典型的控制方法具有較高的參考價值。

    標簽: 旋轉(zhuǎn)編碼器

    上傳時間: 2022-06-06

    上傳用戶:

主站蜘蛛池模板: 章丘市| 霍州市| 平利县| 长寿区| 景德镇市| 乌拉特前旗| 称多县| 开江县| 无锡市| 长子县| 台安县| 西平县| 赤城县| 温泉县| 赤峰市| 远安县| 汉中市| 平阴县| 井研县| 乌鲁木齐县| 枝江市| 承德市| 葫芦岛市| 喜德县| 自贡市| 临沂市| 博野县| 昭觉县| 青神县| 宿州市| 阜新市| 南投市| 湘潭县| 潍坊市| 安吉县| 满城县| 彭山县| 伊春市| 鹤峰县| 乐亭县| 宜兰县|